Replies: 3 comments
-
Chisel has |
Beta Was this translation helpful? Give feedback.
-
my understanding of eventually is SV's implication with indefinite window (until it satisfies once). |
Beta Was this translation helpful? Give feedback.
-
I don't think we have support for this as of today. If I understand you correctly, you are looking for something like module Foo;
final begin
// do stuff on termination
end
endmodule to execute arbitrary code once the simulation terminates. There are also module Foo;
always @(something) begin
assert final (condition);
assume final (condition);
cover final (condition);
end
endmodule which are scheduled to execute at the end of the current timestep (postponed region), but you probably don't mean these. The closest you can come to this today in Chisel is to use assert property (@(posedge clk) credit == 0 |-> s_eventually(credit > 0)); I am not sure how the equivalent of a |
Beta Was this translation helpful? Give feedback.
Uh oh!
There was an error while loading. Please reload this page.
-
I am wondering if Chisel has a way to write something similar to final block in SV.
final and assert are a good way to verify credited protocol.
Beta Was this translation helpful? Give feedback.
All reactions