Skip to content

Commit ea544c7

Browse files
committed
Version 4.14
1 parent de72910 commit ea544c7

File tree

2 files changed

+26
-1
lines changed

2 files changed

+26
-1
lines changed

ZDMA/100T/src/pcileech_tbx4_100t.xdc

Lines changed: 25 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -136,6 +136,31 @@ set_property PACKAGE_PIN AB10 [get_ports pcie_perst2_n]
136136
set_property IOSTANDARD LVCMOS33 [get_ports {pcie_present1 pcie_present2 pcie_perst1_n pcie_perst2_n}]
137137
set_property PULLTYPE PULLUP [get_ports {pcie_present1 pcie_present2 pcie_perst1_n pcie_perst2_n}]
138138

139+
set_property LOC GTPE2_CHANNEL_X0Y4 [get_cells {i_pcileech_pcie_a7x4/i_pcie_7x_0/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[0].gt_wrapper_i/gtp_channel.gtpe2_channel_i}]
140+
set_property LOC GTPE2_CHANNEL_X0Y5 [get_cells {i_pcileech_pcie_a7x4/i_pcie_7x_0/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[1].gt_wrapper_i/gtp_channel.gtpe2_channel_i}]
141+
set_property LOC GTPE2_CHANNEL_X0Y6 [get_cells {i_pcileech_pcie_a7x4/i_pcie_7x_0/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[2].gt_wrapper_i/gtp_channel.gtpe2_channel_i}]
142+
set_property LOC GTPE2_CHANNEL_X0Y7 [get_cells {i_pcileech_pcie_a7x4/i_pcie_7x_0/inst/inst/gt_top_i/pipe_wrapper_i/pipe_lane[3].gt_wrapper_i/gtp_channel.gtpe2_channel_i}]
143+
144+
set_property PACKAGE_PIN A8 [get_ports pcie_rx_n[0]]
145+
set_property PACKAGE_PIN B8 [get_ports pcie_rx_p[0]]
146+
set_property PACKAGE_PIN A4 [get_ports pcie_tx_n[0]]
147+
set_property PACKAGE_PIN B4 [get_ports pcie_tx_p[0]]
148+
149+
set_property PACKAGE_PIN C11 [get_ports pcie_rx_n[1]]
150+
set_property PACKAGE_PIN D11 [get_ports pcie_rx_p[1]]
151+
set_property PACKAGE_PIN C5 [get_ports pcie_tx_n[1]]
152+
set_property PACKAGE_PIN D5 [get_ports pcie_tx_p[1]]
153+
154+
set_property PACKAGE_PIN A10 [get_ports pcie_rx_n[2]]
155+
set_property PACKAGE_PIN B10 [get_ports pcie_rx_p[2]]
156+
set_property PACKAGE_PIN A6 [get_ports pcie_tx_n[2]]
157+
set_property PACKAGE_PIN B6 [get_ports pcie_tx_p[2]]
158+
159+
set_property PACKAGE_PIN C9 [get_ports pcie_rx_n[3]]
160+
set_property PACKAGE_PIN D9 [get_ports pcie_rx_p[3]]
161+
set_property PACKAGE_PIN C7 [get_ports pcie_tx_n[3]]
162+
set_property PACKAGE_PIN D7 [get_ports pcie_tx_p[3]]
163+
139164
set_property PACKAGE_PIN F10 [get_ports pcie_clk_p]
140165
set_property PACKAGE_PIN E10 [get_ports pcie_clk_n]
141166
create_clock -period 10.000 -name pcie_sys_clk_p [get_nets pcie_clk_p]

ZDMA/readme.md

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -81,4 +81,4 @@ Releases / Version History:
8181
v4.14
8282
* Initial Release
8383
* Download pre-built binaries below:
84-
* [ZDMA](https://mega.nz/file/1ORw1TiI#r0CxKKnCYq1GmxQTQ4IJY_79ENpKZbExhzpWD2zo96E) SHA256: `6af51464a1d3dd586833cc7347cd401387e88f7b201904862d9e4b3f2e491a3f`
84+
* [ZDMA](https://mega.nz/file/gCQ2kKhR#zSKpIP_sfRQ85zdtDwriAb8J9aAifdkCbfjHvPmaSnI) SHA256: `759c5ac97ffe742b38aa0c9ff4f62e49af01f32e9eb85697edf5692b00269475`

0 commit comments

Comments
 (0)