-
Notifications
You must be signed in to change notification settings - Fork 32
Icarus Verilog and GTKWave
Icarus Verilog is the most simple Verilog simulation tool. This page is Icarus Verilog and GTKwave instalation tutorial. It is assumed that you are using one of the Linux distributions. The tutorial was tested on Fedora 28 and Ubuntu 16.04.
The most complete official installation guide is here.
sudo apt install iverilog
sudo apt install gtkwave
sudo yum install iverilog
sudo yum install gtkwave
Suppose, we have following Verilog source file dummy.v
:
module dummy();
wire a = 1;
initial begin
$dumpvars;
$display("Hello, World!");
#10 $finish;
end
endmodule
iverilog dummy.v -o dummy
vvp dummy
or
./dummy
$ ./dummy
VCD info: dumpfile dump.vcd opened for output.
Hello, World!
gtkwave dump.vcd
Программа Icarus Verilog это самое простое средство для симуляции Verilog-кода. Эта страница является руководством по установке программ Icarus Verilog и GTKwave.
Предполагается, что установлен один из дистрибутивов Linux. Данное руководство было проверено на Fedora 28 и Ubuntu 16.04. Если вы только собираетесь поставить Linux для изучения этого курса на физическую или виртуальную машину, и у вас нет своих предпочтений, выбирайте Fedora или Ubuntu. Все остальные руководства будут так же протестированы на них. Если вы работаете только на Windows (по какой-то причине), то пользуйтесь ссылками на официальные руководства.
По этой ссылке можно свериться с официальным руководством по установке Icarus Verilog.
sudo apt install iverilog
sudo apt install gtkwave
sudo yum install iverilog
sudo yum install gtkwave
Предположим, что у нас есть файл с кодом dummy.v
со следующим содержанием:
module dummy();
wire a = 1;
initial begin
$dumpvars;
$display("Hello, World!");
#10 $finish;
end
endmodule
iverilog dummy.v -o dummy
vvp dummy
или
./dummy
$ ./dummy
VCD info: dumpfile dump.vcd opened for output.
Hello, World!
gtkwave dump.vcd